Issues with adding the GPIO

Issues with adding the GPIO

par Alon Tchelet,
Number of replies: 3

Hi all,

We had some nice progress and we wanted to have the measurements through one of the GPIO_0 pins. So we added another InOut PIO in qsys and set the lower 32 bits of GPIO_0 on the high level VHDL file. We downloaded it to device and it claims a successful download. We created a new project on eclipse and ran it with basic "hello_world" code, but it doesn't print. We tried placing our previous main.c , that was working well, but still no output.

When debugging we can see that there's some issue with the handling of the JTAG interrupt, but we only changed the GPIO. Anyone has any idea?

We added a picture of the VHDL file and the qsys settings


Thanks in advance,
Maxime and Alon

Attachment qsys.png
Attachment vhdl.png
In reply to Alon Tchelet

Re: Issues with adding the GPIO

par René Beuchat,

Can you provide the full connection in QSYS of your gpio on the picture.

I don't think that the compiler will generate something correct for the in, out connected together to GPIO_0

There is no warnings about that ?

Provide your files of the top level in attachment, please.

RB

In reply to René Beuchat

Re: Issues with adding the GPIO

par Alon Tchelet,

I attached the warnings as well. I don't see anything related as far as I understand.


Attachment qsys.png
Attachment warnings.png
In reply to Alon Tchelet

Re: Issues with adding the GPIO

par René Beuchat,

Hello,

nios_buttons_external_connection_export : in    std_logic_vector(3 downto 0)  := (others => 'X'); -- export nios_leds_external_connection_export    : out   std_logic_vector(9 downto 0);                      -- export gpio_0_external_connection_in_port      : in    std_logic_vector(31 downto 0) := (others => 'X'); -- in_port gpio_0_external_connection_out_port     : out   std_logic_vector(31 downto 0)                     -- out_port

);

end component soc_system;

...

 u0 : component soc_system port map ( 

...

nios_buttons_external_connection_export => KEY_N, -- nios_buttons_external_connection.export nios_leds_external_connection_export    => LEDR,      --    nios_leds_external_connection.export gpio_0_external_connection_out_port     => GPIO_0(31 downto 0)    --                                 .out_port

);

end;

---------------------------------------------------------------

You do not connect all your component signals with the port map.

And you connect the 4 KEY_N with  nios_buttons_external_connection_export  

and again KEY_N(0) to the Reset_n


What is the parallel port configuration ? in, out, inout ?