Issues with adding the GPIO

Re: Issues with adding the GPIO

par René Beuchat,
Number of replies: 0

Hello,

nios_buttons_external_connection_export : in    std_logic_vector(3 downto 0)  := (others => 'X'); -- export nios_leds_external_connection_export    : out   std_logic_vector(9 downto 0);                      -- export gpio_0_external_connection_in_port      : in    std_logic_vector(31 downto 0) := (others => 'X'); -- in_port gpio_0_external_connection_out_port     : out   std_logic_vector(31 downto 0)                     -- out_port

);

end component soc_system;

...

 u0 : component soc_system port map ( 

...

nios_buttons_external_connection_export => KEY_N, -- nios_buttons_external_connection.export nios_leds_external_connection_export    => LEDR,      --    nios_leds_external_connection.export gpio_0_external_connection_out_port     => GPIO_0(31 downto 0)    --                                 .out_port

);

end;

---------------------------------------------------------------

You do not connect all your component signals with the port map.

And you connect the 4 KEY_N with  nios_buttons_external_connection_export  

and again KEY_N(0) to the Reset_n


What is the parallel port configuration ? in, out, inout ?